Krvavé léto

Hororové drama podle skutečné události sériových vražd v New Yorku v létě roku 1977.
Summer of Sam
1999 USA délka: 142 min
Mira Sorvino31(Dionna)
Bebe Neuwirth40(Gloria)
Adrien Brody26(Ritchie)
Krvavé léto

Režie: Spike Lee
Produkce: Jon Kilik, Spike Lee
Scénář: Victor Colicchio, Michael Imperioli, Spike Lee
Kamera: Ellen Kuras
Hudba: Terence Blanchard, Pete Townshend

Hrají:
John Leguizamo (Vinny)
Mira Sorvino (Dionna)
Jennifer Esposito (Ruby)
Adrien Brody (Ritchie)
Michael Rispoli (Joe T)
Bebe Neuwirth (Gloria)
Saverio Guerra (Woodstock)
Patti LuPone (Helen)
Brian Tarantina (Bobby Del Fiore)
Al Palagonia (Anthony)
Ken Garito (Brian)
Joe Lisi (Tony Olives)
Spike Lee (reportér John Jeffries)
Darielle Gilad (Debbie Cadabra)
Mike Starr (Eddie)
Anthony LaPaglia (detektiv Lou Petrocelli)
Roger Guenveur Smith (detektiv Curt Atwater)

Aktualizováno: 11.9.2021